音频主动降噪系统的设计.doc

  • 需要金币1000 个金币
  • 资料包括:完整论文
  • 转换比率:金钱 X 10=金币数量, 即1元=10金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2018-09-15
  • 论文字数:9466
  • 当前位置论文阅览室 > 论文模板 > 论文综述 >
  • 课题来源:(无悔青春)提供原创文章

支付并下载

摘要:本文介绍了一个基于FPGA平台和音频解码芯片WM8731构成的音频主动降噪系统。主动降噪是系统根据信号的变化来调整自身的部分参数,从而产生与噪声等幅反相的信号,与噪声相互抵消,达到降噪的效果。

本文主要讨论了音频主动降噪系统的算法,即自适应算法。其中,VSSLMS算法是本文的研究重点,经过实验,在VSSLMS算法的各个参数中,收敛步长直接影响到系统的性能。

主动降噪系统重点研究了软件系统,软件是通过VIVADO设计的VHDL程序,主要包括顶层模块和四个子模块。实现了各种功能。

关键词:音频、主动降噪、FPGA、WM8731

 

目录

摘要

Abstract

第一章 绪论-3

第1.1节 研究背景-3

第1.2节 主动降噪系统的发展状况-3

第1.3节 本文研究内容-4

第二章 自适应滤波器算法-6

第2.1节 自适应算法-6

第2.2节 LMS算法-7

第2.3节 VSSLMS算法-9

第2.2节 本章小结-10

第三章 音频主动降噪系统的硬件设计-12

第3.1节 音频主动降噪系统的硬件平台-13

第3.2节 FPGA芯片-13

第3.3节 音频解码芯片-14

第3.4节 本章小结-15

第四章 音频主动降噪系统的软件设计-16

第4.1节 顶层模块-17

第4.2节 寄存器配置模块-17

第4.3节 PLL模块-18

第4.4节 VSSLMS算法模块-19

第4.5节 数据IO口模块-20

第4.6节 本章小结-20

第五章 音频主动降噪系统的结果分析-22

第5.1节 系统参数的变化过程-22

第5.2节 系统降噪效果-23

第5.3节 本章小结-23

第六章 总结和展望-24

第6.1节 总结-24

第6.2节 展望-24

参考文献-25

致谢-27